contributed by AgainTW
val myChiselData = UInt(8.W)
// or any Chisel data type, such as Bool(), SInt(...), or even custom Bundles
val myDecoupled_1 = Decoupled(myChiselData)
val myDecoupled_2 = Decoupled(UInt(8.W))
class Decoupled_test extends Module {
val io = IO(new Bundle {
val in = Flipped(Decoupled(UInt(8.W)))
val out = Decoupled(UInt(8.W))
})
io.out.valid := io.out.ready
io.in.ready := io.in.valid
io.out.bits := io.in.bits
}
println(getVerilog(new Decoupled_test))
module Decoupled_test(
input clock,
input reset,
output io_in_ready,
input io_in_valid,
input [7:0] io_in_bits,
input io_out_ready,
output io_out_valid,
output [7:0] io_out_bits
);
assign io_in_ready = io_in_valid; // @[cmd23.sc 7:17]
assign io_out_valid = io_out_ready; // @[cmd23.sc 6:18]
assign io_out_bits = io_in_bits; // @[cmd23.sc 8:17]
endmodule
<>
: "【2】組合電路、序向電路和 Control Flow"有提到
class queue_test(length: Int) extends Module {
val io = IO(new Bundle {
val in = Flipped(Decoupled(Vec(length, UInt(8.W))))
val out = Decoupled(Vec(length, UInt(8.W)))
})
val queue = Queue(io.in, length)
io.out <> queue
}
println(getVerilog(new queue_test(2)))
module Queue(
input clock,
input reset,
output io_enq_ready,
input io_enq_valid,
input [7:0] io_enq_bits_0,
input [7:0] io_enq_bits_1,
input io_deq_ready,
output io_deq_valid,
output [7:0] io_deq_bits_0,
output [7:0] io_deq_bits_1
);
`ifdef RANDOMIZE_MEM_INIT
reg [31:0] _RAND_0;
reg [31:0] _RAND_1;
`endif // RANDOMIZE_MEM_INIT
`ifdef RANDOMIZE_REG_INIT
reg [31:0] _RAND_2;
reg [31:0] _RAND_3;
reg [31:0] _RAND_4;
`endif // RANDOMIZE_REG_INIT
reg [7:0] ram_0 [0:1]; // @[Decoupled.scala 218:16]
wire [7:0] ram_0_io_deq_bits_MPORT_data; // @[Decoupled.scala 218:16]
wire ram_0_io_deq_bits_MPORT_addr; // @[Decoupled.scala 218:16]
wire [7:0] ram_0_MPORT_data; // @[Decoupled.scala 218:16]
wire ram_0_MPORT_addr; // @[Decoupled.scala 218:16]
wire ram_0_MPORT_mask; // @[Decoupled.scala 218:16]
wire ram_0_MPORT_en; // @[Decoupled.scala 218:16]
reg [7:0] ram_1 [0:1]; // @[Decoupled.scala 218:16]
wire [7:0] ram_1_io_deq_bits_MPORT_data; // @[Decoupled.scala 218:16]
wire ram_1_io_deq_bits_MPORT_addr; // @[Decoupled.scala 218:16]
wire [7:0] ram_1_MPORT_data; // @[Decoupled.scala 218:16]
wire ram_1_MPORT_addr; // @[Decoupled.scala 218:16]
wire ram_1_MPORT_mask; // @[Decoupled.scala 218:16]
wire ram_1_MPORT_en; // @[Decoupled.scala 218:16]
reg value; // @[Counter.scala 60:40]
reg value_1; // @[Counter.scala 60:40]
reg maybe_full; // @[Decoupled.scala 221:27]
wire ptr_match = value == value_1; // @[Decoupled.scala 223:33]
wire empty = ptr_match & ~maybe_full; // @[Decoupled.scala 224:25]
wire full = ptr_match & maybe_full; // @[Decoupled.scala 225:24]
wire do_enq = io_enq_ready & io_enq_valid; // @[Decoupled.scala 40:37]
wire do_deq = io_deq_ready & io_deq_valid; // @[Decoupled.scala 40:37]
assign ram_0_io_deq_bits_MPORT_addr = value_1;
assign ram_0_io_deq_bits_MPORT_data = ram_0[ram_0_io_deq_bits_MPORT_addr]; // @[Decoupled.scala 218:16]
assign ram_0_MPORT_data = io_enq_bits_0;
assign ram_0_MPORT_addr = value;
assign ram_0_MPORT_mask = 1'h1;
assign ram_0_MPORT_en = io_enq_ready & io_enq_valid;
assign ram_1_io_deq_bits_MPORT_addr = value_1;
assign ram_1_io_deq_bits_MPORT_data = ram_1[ram_1_io_deq_bits_MPORT_addr]; // @[Decoupled.scala 218:16]
assign ram_1_MPORT_data = io_enq_bits_1;
assign ram_1_MPORT_addr = value;
assign ram_1_MPORT_mask = 1'h1;
assign ram_1_MPORT_en = io_enq_ready & io_enq_valid;
assign io_enq_ready = ~full; // @[Decoupled.scala 241:19]
assign io_deq_valid = ~empty; // @[Decoupled.scala 240:19]
assign io_deq_bits_0 = ram_0_io_deq_bits_MPORT_data; // @[Decoupled.scala 242:15]
assign io_deq_bits_1 = ram_1_io_deq_bits_MPORT_data; // @[Decoupled.scala 242:15]
always @(posedge clock) begin
if(ram_0_MPORT_en & ram_0_MPORT_mask) begin
ram_0[ram_0_MPORT_addr] <= ram_0_MPORT_data; // @[Decoupled.scala 218:16]
end
if(ram_1_MPORT_en & ram_1_MPORT_mask) begin
ram_1[ram_1_MPORT_addr] <= ram_1_MPORT_data; // @[Decoupled.scala 218:16]
end
if (reset) begin // @[Counter.scala 60:40]
value <= 1'h0; // @[Counter.scala 60:40]
end else if (do_enq) begin // @[Decoupled.scala 229:17]
value <= value + 1'h1; // @[Counter.scala 76:15]
end
if (reset) begin // @[Counter.scala 60:40]
value_1 <= 1'h0; // @[Counter.scala 60:40]
end else if (do_deq) begin // @[Decoupled.scala 233:17]
value_1 <= value_1 + 1'h1; // @[Counter.scala 76:15]
end
if (reset) begin // @[Decoupled.scala 221:27]
maybe_full <= 1'h0; // @[Decoupled.scala 221:27]
end else if (do_enq != do_deq) begin // @[Decoupled.scala 236:28]
maybe_full <= do_enq; // @[Decoupled.scala 237:16]
end
end
endmodule
module QD_test(
input clock,
input reset,
output io_in_ready,
input io_in_valid,
input [7:0] io_in_bits,
input io_out_ready,
output io_out_valid,
output [7:0] io_out_bits
);
wire queue_clock; // @[Decoupled.scala 296:21]
wire queue_reset; // @[Decoupled.scala 296:21]
wire queue_io_enq_ready; // @[Decoupled.scala 296:21]
wire queue_io_enq_valid; // @[Decoupled.scala 296:21]
wire [7:0] queue_io_enq_bits; // @[Decoupled.scala 296:21]
wire queue_io_deq_ready; // @[Decoupled.scala 296:21]
wire queue_io_deq_valid; // @[Decoupled.scala 296:21]
wire [7:0] queue_io_deq_bits; // @[Decoupled.scala 296:21]
Queue queue ( // @[Decoupled.scala 296:21]
.clock(queue_clock),
.reset(queue_reset),
.io_enq_ready(queue_io_enq_ready),
.io_enq_valid(queue_io_enq_valid),
.io_enq_bits(queue_io_enq_bits),
.io_deq_ready(queue_io_deq_ready),
.io_deq_valid(queue_io_deq_valid),
.io_deq_bits(queue_io_deq_bits)
);
assign io_in_ready = queue_io_enq_ready; // @[Decoupled.scala 299:17]
assign io_out_valid = queue_io_deq_valid; // @[cmd26.sc 8:12]
assign io_out_bits = queue_io_deq_bits; // @[cmd26.sc 8:12]
assign queue_clock = clock;
assign queue_reset = reset;
assign queue_io_enq_valid = io_in_valid; // @[Decoupled.scala 297:22]
assign queue_io_enq_bits = io_in_bits; // @[Decoupled.scala 298:21]
assign queue_io_deq_ready = io_out_ready; // @[cmd26.sc 8:12]
endmodule
class arbiter_test(length: Int) extends Module {
val io = IO(new Bundle {
val in = Flipped(Vec(length, Decoupled(UInt(8.W))))
val out = Decoupled(UInt(8.W))
})
val arbiter = Module(new Arbiter(UInt(8.W), length))
arbiter.io.in <> io.in
io.out <> arbiter.io.out
}
println(getVerilog(new arbiter_test(2)))
module Arbiter(
output io_in_0_ready,
input io_in_0_valid,
input [7:0] io_in_0_bits,
output io_in_1_ready,
input io_in_1_valid,
input [7:0] io_in_1_bits,
input io_out_ready,
output io_out_valid,
output [7:0] io_out_bits
);
wire grant_1 = ~io_in_0_valid; // @[Arbiter.scala 31:78]
assign io_in_0_ready = io_out_ready; // @[Arbiter.scala 134:19]
assign io_in_1_ready = grant_1 & io_out_ready; // @[Arbiter.scala 134:19]
assign io_out_valid = ~grant_1 | io_in_1_valid; // @[Arbiter.scala 135:31]
assign io_out_bits = io_in_0_valid ? io_in_0_bits : io_in_1_bits; // @[Arbiter.scala 126:27 Arbiter.scala 128:19 Arbiter.scala 124:15]
endmodule
module arbiter_test(
input clock,
input reset,
output io_in_0_ready,
input io_in_0_valid,
input [7:0] io_in_0_bits,
output io_in_1_ready,
input io_in_1_valid,
input [7:0] io_in_1_bits,
input io_out_ready,
output io_out_valid,
output [7:0] io_out_bits
);
wire arbiter_io_in_0_ready; // @[cmd30.sc 6:25]
wire arbiter_io_in_0_valid; // @[cmd30.sc 6:25]
wire [7:0] arbiter_io_in_0_bits; // @[cmd30.sc 6:25]
wire arbiter_io_in_1_ready; // @[cmd30.sc 6:25]
wire arbiter_io_in_1_valid; // @[cmd30.sc 6:25]
wire [7:0] arbiter_io_in_1_bits; // @[cmd30.sc 6:25]
wire arbiter_io_out_ready; // @[cmd30.sc 6:25]
wire arbiter_io_out_valid; // @[cmd30.sc 6:25]
wire [7:0] arbiter_io_out_bits; // @[cmd30.sc 6:25]
Arbiter arbiter ( // @[cmd30.sc 6:25]
.io_in_0_ready(arbiter_io_in_0_ready),
.io_in_0_valid(arbiter_io_in_0_valid),
.io_in_0_bits(arbiter_io_in_0_bits),
.io_in_1_ready(arbiter_io_in_1_ready),
.io_in_1_valid(arbiter_io_in_1_valid),
.io_in_1_bits(arbiter_io_in_1_bits),
.io_out_ready(arbiter_io_out_ready),
.io_out_valid(arbiter_io_out_valid),
.io_out_bits(arbiter_io_out_bits)
);
assign io_in_0_ready = arbiter_io_in_0_ready; // @[cmd30.sc 7:19]
assign io_in_1_ready = arbiter_io_in_1_ready; // @[cmd30.sc 7:19]
assign io_out_valid = arbiter_io_out_valid; // @[cmd30.sc 8:12]
assign io_out_bits = arbiter_io_out_bits; // @[cmd30.sc 8:12]
assign arbiter_io_in_0_valid = io_in_0_valid; // @[cmd30.sc 7:19]
assign arbiter_io_in_0_bits = io_in_0_bits; // @[cmd30.sc 7:19]
assign arbiter_io_in_1_valid = io_in_1_valid; // @[cmd30.sc 7:19]
assign arbiter_io_in_1_bits = io_in_1_bits; // @[cmd30.sc 7:19]
assign arbiter_io_out_ready = io_out_ready; // @[cmd30.sc 8:12]
endmodule
UInt
到 OneHot
OneHot
到 UInt
PriorityMux(select, Vec(10, UInt(7.W)))
Mux1H(select, Vec(10, UInt(7.W)))
counter.value
來讀計數器的值counter.inc()
來為計時器加一
counter.inc()
並沒有辦法使計數器在一個時脈中加 2
class count extends Module {
val io = IO(new Bundle {
val count = Input(Bool())
val out = Output(UInt(2.W))
})
val counter = Counter(3) // 3-count Counter (outputs range [0...2])
when(io.count) {
counter.inc()
}
io.out := counter.value
}
println(getVerilog(new count()))
module count(
input clock,
input reset,
input io_count,
output [1:0] io_out
);
`ifdef RANDOMIZE_REG_INIT
reg [31:0] _RAND_0;
`endif // RANDOMIZE_REG_INIT
reg [1:0] value; // @[Counter.scala 60:40]
wire wrap = value == 2'h2; // @[Counter.scala 72:24]
wire [1:0] _value_T_1 = value + 2'h1; // @[Counter.scala 76:24]
assign io_out = value; // @[cmd40.sc 11:12]
always @(posedge clock) begin
if (reset) begin // @[Counter.scala 60:40]
value <= 2'h0; // @[Counter.scala 60:40]
end
else if (io_count) begin // @[cmd40.sc 8:20]
if (wrap) begin // @[Counter.scala 86:20]
value <= 2'h0; // @[Counter.scala 86:28]
end else begin
value <= _value_T_1; // @[Counter.scala 76:15]
end
end
end
endmodule
case (a, b) => a * b
val muls = Wire(Vec(length, UInt(8.W)))
for(i <- 0 until length) {
if(i == 0) muls(i) := io.in * io.consts(i)
else muls(i) := regs(i - 1) * io.consts(i)
}
val scan = Wire(Vec(length, UInt(8.W)))
for(i <- 0 until length) {
if(i == 0) scan(i) := muls(i)
else scan(i) := muls(i) + scan(i - 1)
}
io.out := scan(length - 1)
io.out := (taps zip io.consts).map { case (a, b) => a * b }.reduce(_ + _)
taps(0) = io.in
taps(1) = regs(0)
def zip[B](that: GenIterable[B]): Iterable[(A, B)]
list_a zip list_b
和list_a.zip(list_b)
都是可行的寫法
val list = List(1, 2, 3 ,4)
val list1 = List("A", "B", "C")
//apply operation to create a zip of list
val list2 = list zip list1
val list3 = list1 zip list
val list4 = list.zip(list1)
val list5 = list1.zip(list)
//print list
println(list2)
println(list3)
println(list4)
println(list5)
List((1,A), (2,B), (3,C))
List((A,1), (B,2), (C,3))
List((1,A), (2,B), (3,C))
List((A,1), (B,2), (C,3))
zipWithIndex: List[(A, Int)]
println(List("a", "b", "c", "d").zipWithIndex)
List((a,0), (b,1), (c,2), (d,3))
fold(z: A)(op: (A, A) ⇒ A): A
println(List(1, 2, 3, 4).fold(2)(_ * _))
48
def
和val
的宣告和差別// These are normal functions.
def plus1funct(x: Int): Int = x + 1
def times2funct(x: Int): Int = x * 2
// These are functions as vals.
// The first one explicitly specifies the return type.
val plus1val: Int => Int = x => x + 1
val times2val = (x: Int) => x * 2
// Calling both looks the same.
plus1funct(4)
plus1val(4)
plus1funct(x=4)
//plus1val(x=4) // this doesn't work
defined function plus1funct
defined function times2funct
plus1val: Int => Int = ammonite.$sess.cmd7$Helper$$Lambda$2933/628001821@1e527155
times2val: Int => Int = ammonite.$sess.cmd7$Helper$$Lambda$2934/975951512@56eebcd1
res7_4: Int = 5
res7_5: Int = 5
res7_6: Int = 5
// create our function
val plus1 = (x: Int) => x + 1
val times2 = (x: Int) => x * 2
// pass it to map, a list function
val myList = List(1, 2, 5, 9)
val myListPlus = myList.map(plus1)
val myListTimes = myList.map(times2)
// create a custom function, which performs an operation on X N times using recursion
def opN(x: Int, n: Int, op: Int => Int): Int = {
if (n <= 0) { x }
else { opN(op(x), n-1, op) }
}
opN(7, 3, plus1)
opN(7, 3, times2)
plus1: Int => Int = ammonite.$sess.cmd8$Helper$$Lambda$2972/1279130160@5c7f9e3f
times2: Int => Int = ammonite.$sess.cmd8$Helper$$Lambda$2973/1888893016@eaa4a49
myList: List[Int] = List(1, 2, 5, 9)
myListPlus: List[Int] = List(2, 3, 6, 10)
myListTimes: List[Int] = List(2, 4, 10, 18)
defined function opN
res8_6: Int = 10
res8_7: Int = 56
typedef double (*F)(double, int);
double power(double, int);
double multiply(double, int);
double divide(double, int);
double (*funcArray[])(double, int) = {
power,
multiply,
divide,
};
double powerpower(double x, int n, F func)
{
return func(x,n);
}
void main(int argc, char *argv[])
{
for(i; i<size; i++){
if( strcmp(argv[1], operation[i]) == 0){
ans = powerpower(x, n, funcArray[i]);
break;
}
}
}
val myList = List(5, 6, 7, 8)
myList.map( (x:Int) => x + 1 )
myList.map(_ + 1)
myList: List[Int] = List(5, 6, 7, 8)
res10_1: List[Int] = List(6, 7, 8, 9)
res10_2: List[Int] = List(6, 7, 8, 9)
import scala.util.Random
// both x and y call the nextInt function, but x is evaluated immediately and y is a function
val x = Random.nextInt
def y = Random.nextInt
// x was previously evaluated, so it is a constant
println(s"x = $x")
println(s"x = $x")
// y is a function and gets reevaluated at each call, thus these produce different results
println(s"y = $y")
println(s"y = $y")
x = 1353115134
x = 1353115134
y = 1624387838
y = -867619323
// get some math functions
import scala.math.{abs, round, cos, Pi, pow}
// simple triangular window
val TriangularWindow: (Int, Int) => Seq[Int] = (length, bitwidth) => {
val raw_coeffs = (0 until length).map( (x:Int) => 1-abs((x.toDouble-(length-1)/2.0)/((length-1)/2.0)) )
val scaled_coeffs = raw_coeffs.map( (x: Double) => round(x * pow(2, bitwidth)).toInt)
scaled_coeffs
}
// Hamming window
val HammingWindow: (Int, Int) => Seq[Int] = (length, bitwidth) => {
val raw_coeffs = (0 until length).map( (x: Int) => 0.54 - 0.46*cos(2*Pi*x/(length-1)))
val scaled_coeffs = raw_coeffs.map( (x: Double) => round(x * pow(2, bitwidth)).toInt)
scaled_coeffs
}
class MyFir(length: Int, bitwidth: Int, window: (Int, Int) => Seq[Int]) extends Module {
val io = IO(new Bundle {
val in = Input(UInt(bitwidth.W))
val out = Output(UInt((bitwidth*2+length-1).W)) // expect bit growth, conservative but lazy
})
// calculate the coefficients using the provided window function, mapping to UInts
val coeffs = window(length, bitwidth).map(_.U)
// create an array holding the output of the delays
// note: we avoid using a Vec here since we don't need dynamic indexing
val delays = Seq.fill(length)(Wire(UInt(bitwidth.W))).scan(io.in)( (prev: UInt, next: UInt) => {
next := RegNext(prev)
next
})
// multiply, putting result in "mults"
val mults = delays.zip(coeffs).map{ case(delay: UInt, coeff: UInt) => delay * coeff }
// add up multiplier outputs with bit growth
val result = mults.reduce(_+&_)
// connect output
io.out := result
}
visualize(() => new MyFir(7, 12, TriangularWindow))
println(getVerilog(new MyFir(7, 12, TriangularWindow)))
module MyFir(
input clock,
input reset,
input [11:0] io_in,
output [29:0] io_out
);
`ifdef RANDOMIZE_REG_INIT
reg [31:0] _RAND_0;
reg [31:0] _RAND_1;
reg [31:0] _RAND_2;
reg [31:0] _RAND_3;
reg [31:0] _RAND_4;
reg [31:0] _RAND_5;
`endif // RANDOMIZE_REG_INIT
reg [11:0] REG; // @[cmd9.sc 13:20]
reg [11:0] REG_1; // @[cmd9.sc 13:20]
reg [11:0] REG_2; // @[cmd9.sc 13:20]
reg [11:0] REG_3; // @[cmd9.sc 13:20]
reg [11:0] REG_4; // @[cmd9.sc 13:20]
reg [11:0] REG_5; // @[cmd9.sc 13:20]
wire [12:0] mults_0 = io_in * 1'h0; // @[cmd9.sc 18:79]
wire [22:0] mults_1 = REG * 11'h555; // @[cmd9.sc 18:79]
wire [23:0] mults_2 = REG_1 * 12'haab; // @[cmd9.sc 18:79]
wire [24:0] mults_3 = REG_2 * 13'h1000; // @[cmd9.sc 18:79]
wire [23:0] mults_4 = REG_3 * 12'haab; // @[cmd9.sc 18:79]
wire [22:0] mults_5 = REG_4 * 11'h555; // @[cmd9.sc 18:79]
wire [12:0] mults_6 = REG_5 * 1'h0; // @[cmd9.sc 18:79]
wire [22:0] _GEN_0 = {{10'd0}, mults_0}; // @[cmd9.sc 21:30]
wire [23:0] _T = _GEN_0 + mults_1; // @[cmd9.sc 21:30]
wire [24:0] _T_1 = _T + mults_2; // @[cmd9.sc 21:30]
wire [25:0] _T_2 = _T_1 + mults_3; // @[cmd9.sc 21:30]
wire [25:0] _GEN_1 = {{2'd0}, mults_4}; // @[cmd9.sc 21:30]
wire [26:0] _T_3 = _T_2 + _GEN_1; // @[cmd9.sc 21:30]
wire [26:0] _GEN_2 = {{4'd0}, mults_5}; // @[cmd9.sc 21:30]
wire [27:0] _T_4 = _T_3 + _GEN_2; // @[cmd9.sc 21:30]
wire [27:0] _GEN_3 = {{15'd0}, mults_6}; // @[cmd9.sc 21:30]
wire [28:0] result = _T_4 + _GEN_3; // @[cmd9.sc 21:30]
assign io_out = {{1'd0}, result}; // @[cmd9.sc 21:30]
always @(posedge clock) begin
REG <= io_in; // @[cmd9.sc 13:20]
REG_1 <= REG; // @[cmd9.sc 12:37 cmd9.sc 13:10]
REG_2 <= REG_1; // @[cmd9.sc 12:37 cmd9.sc 13:10]
REG_3 <= REG_2; // @[cmd9.sc 12:37 cmd9.sc 13:10]
REG_4 <= REG_3; // @[cmd9.sc 12:37 cmd9.sc 13:10]
REG_5 <= REG_4; // @[cmd9.sc 12:37 cmd9.sc 13:10]
end
endmodule
val Step: FixedPoint => FixedPoint = x => Mux(x <= 0.F(8.BP), 0.F(8.BP), 1.F(8.BP))
val ReLU: FixedPoint => FixedPoint = x => Mux(x <= 0.F(8.BP), 0.F(8.BP), x)
class Neuron(inputs: Int, act: FixedPoint => FixedPoint) extends Module {
val io = IO(new Bundle {
val in = Input(Vec(inputs, FixedPoint(16.W, 8.BP)))
val weights = Input(Vec(inputs, FixedPoint(16.W, 8.BP)))
val out = Output(FixedPoint(16.W, 8.BP))
})
val mac = io.in.zip(io.weights).map{ case(a:FixedPoint, b:FixedPoint) => a*b}.reduce(_+_)
io.out := act(mac)
}
println(getVerilog(new Neuron(2, Step)))
println(getVerilog(new Neuron(2, ReLU)))
// Step
module Neuron(
input clock,
input reset,
input [15:0] io_in_0,
input [15:0] io_in_1,
input [15:0] io_weights_0,
input [15:0] io_weights_1,
output [15:0] io_out
);
wire [31:0] _T = $signed(io_in_0) * $signed(io_weights_0); // @[cmd12.sc 8:79]
wire [31:0] _T_1 = $signed(io_in_1) * $signed(io_weights_1); // @[cmd12.sc 8:79]
wire [31:0] mac = $signed(_T) + $signed(_T_1); // @[cmd12.sc 8:91]
wire [9:0] _T_5 = $signed(mac) <= 32'sh0 ? $signed(10'sh0) : $signed(10'sh100); // @[cmd13.sc 1:46]
assign io_out = {{6{_T_5[9]}},_T_5}; // @[cmd13.sc 1:46]
endmodule
// ReLU
module Neuron(
input clock,
input reset,
input [15:0] io_in_0,
input [15:0] io_in_1,
input [15:0] io_weights_0,
input [15:0] io_weights_1,
output [15:0] io_out
);
wire [31:0] _T = $signed(io_in_0) * $signed(io_weights_0); // @[cmd12.sc 8:79]
wire [31:0] _T_1 = $signed(io_in_1) * $signed(io_weights_1); // @[cmd12.sc 8:79]
wire [31:0] mac = $signed(_T) + $signed(_T_1); // @[cmd12.sc 8:91]
wire [9:0] _T_5 = $signed(mac) <= 32'sh0 ? $signed(10'sh0) : $signed(10'sh100); // @[cmd13.sc 1:46]
assign io_out = {{6{_T_5[9]}},_T_5}; // @[cmd13.sc 1:46]
endmodule