Sublime text 設定 === ## 安裝套件管理程式(for Sublime text 3) 開啟Sublime text 點View > Show console 貼入以下程式碼(from [here](https://packagecontrol.io/installation#st3)) ``` import urllib.request,os,hashlib; h = '6f4c264a24d933ce70df5dedcf1dcaee' + 'ebe013ee18cced0ef93d5f746d80ef60'; pf = 'Package Control.sublime-package'; ipp = sublime.installed_packages_path(); urllib.request.install_opener( urllib.request.build_opener( urllib.request.ProxyHandler()) ); by = urllib.request.urlopen( 'http://packagecontrol.io/' + pf.replace(' ', '%20')).read(); dh = hashlib.sha256(by).hexdigest(); print('Error validating download (got %s instead of %s), please try manual install' % (dh, h)) if dh != h else open(os.path.join( ipp, pf), 'wb' ).write(by) ``` ## 安裝套件 Command + Shift + P 找到Package Control: Install Package 然後輸入要安裝的package名稱 ## 一些好用套件 ### SystemVerilog verilog語法高亮 安裝完後要開啟任一個.v檔案,點工具列`View > Syntax > Open all with current extension as > Verilog`,才會有效果