# [2019q3](http://wiki.csie.ncku.edu.tw/sysprog/schedule) Homework2 (作業區) #### tags: `sysprog2019` (按右上方 <i class="fa fa-pencil"></i> 之後再按左上方 <i class="fa fa-columns"></i>,即可編輯本頁) ## 作業要求 * 請依照各作業需求,自 [GitHub](https://github.com/sysprog21/) 網站 fork 個別專案,並將連結貼於下方「作業區」 * [lab0](https://hackmd.io/@sysprog/HyFQpqgPB), [quiz2](https://hackmd.io/@sysprog/rJn1C5xDS) * 程式碼縮排有明確要求,務必遵守,這是團隊合作必要的準備 * 分項作業請建立個別新的 [HackMD](https://hackmd.io/) 頁面,作為開發紀錄 * 如:「開發紀錄(lab0) / github 連結」,==特別留意 "/" 前後各有一個空白==,敬請配合 * 每份作業共筆的標題固定為 ==2019q3 Homework2 (lab0)==,其中 "lab0" 更換為對應的作業名稱,注意:後者是小寫 * 共筆內容的第二行則為 ==contributed by < `你的GitHub帳號名稱` >== * 無論標題和內文中,==中文和英文字元之間要有空白字元== (對排版和文字搜尋有利);文字訊息請避免用圖片來表示,否則不好搜尋和分類 * [共筆示範](https://hackmd.io/s/rk5ayZDKx) * [HackMD 教學和作業原則](https://hackmd.io/s/B17yi6WoW) --- ## 作業區 (HackMD / GitHub) :::info :notes: 「開發紀錄」的 HackMD 網址應該要用「固定網址」(參見 [用固定網址發布筆記](https://hackmd.io/@hackmd/rkZZ4KQ9X)),也就是如 `https://hackmd.io/@itsme/XXXX` 的形式,請留意! ::: - [ ] YenHengLin * [開發紀錄(lab0)](https://hackmd.io/@YenHengLin/lab0-c) / [github](https://github.com/YenHengLin/lab0-c) - [ ] colinyoyo26 * [開發紀錄(lab0)](https://hackmd.io/@colinyoyo26/2019q3lab0) / [github](https://github.com/colinyoyo26/lab0-c) * [開發紀錄(quiz2)](https://hackmd.io/@colinyoyo26/2019q3quiz2) - [ ] xl86305955 * [開發記錄(lab0)](https://hackmd.io/@xl86305955/2019q3_Homework2_lab0) / [github](https://github.com/xl86305955/lab0-c) * [開發紀錄(quiz2)](https://hackmd.io/@xl86305955/quiz2) - [ ] XVs32 * [開發記錄(lab0)](https://hackmd.io/@XVs32/CC_HW2_lab0) / [github](https://github.com/XVs32/lab0-c) - [ ] nckuoverload * [開發紀錄(lab0)](https://hackmd.io/@nckuoverload/2019q3_Homework2_lab0) / [github](https://github.com/nckuoverload/lab0-c) - [ ] butterflyred * [開發紀錄 (lab0)](https://hackmd.io/@butterflyred64/rJQofYgKB) / [github](https://github.com/butterflyred/lab0-c) - [ ] davinais * [開發紀錄(quiz2)](https://hackmd.io/@Davinais/SJN7oZ5DB) * [開發紀錄(lab0)](https://hackmd.io/@Davinais/Bk2rRBfuB) / [github](https://github.com/Davinais/lab0-c) - [ ] kaeteyaruyo * [開發記錄(lab0)](https://hackmd.io/@kaeteyaruyo/2019q3_lab0) / [github](https://github.com/kaeteyaruyo/lab0-c) * [開發紀錄(quiz2)](https://hackmd.io/@kaeteyaruyo/2019q3_homework2) - [ ] kksweet8845 * [開發紀錄(lab0)](https://hackmd.io/@kksweet8845/2019q3lab0) / [github](https://github.com/kksweet8845/lab0-c) * [開發紀錄(quiz2)](https://hackmd.io/@kksweet8845/2019q3homworkquiz2) --- - [ ] afcidk * [開發紀錄(lab0)](https://hackmd.io/s/ry4VZS9SN) / [github](https://github.com/afcidk/lab0-c) - [ ] hankchang805 * [開發紀錄(lab0)](https://hackmd.io/@itsme/S1OEaXvPH) / [github](https://github.com/hankchang805/lab0-c) - [ ] ArielWu0203 * [開發紀錄(lab0)](https://hackmd.io/@ArielWu0203/2019_linux_lab0) / [github](https://github.com/ArielWu0203/lab0-c) * [開發紀錄(quiz2)](https://hackmd.io/@ArielWu0203/2019_linux_quiz2) - [ ] ting199708 * [開發記錄(lab0)](https://hackmd.io/@ting199708/2019q3lab0) / [github](https://github.com/Ting199708/lab0-c) * [開發記錄(quiz2)](https://hackmd.io/@ting199708/2019q3homework2) - [ ] uccuser159 * [開發記錄(lab0)](https://hackmd.io/@uccuser159/2019q3_Homework2_lab0) / [github](https://github.com/uccuser159/lab0-c) * [開發紀錄(quiz2)](https://hackmd.io/@uccuser159/2019q3_Homework2_quiz2) - [ ] yinnping * [開發紀錄(lab0)](https://hackmd.io/@yinnping/S1rwM1ZOB) / [github](https://github.com/yinnping/lab0-c) - [ ] 93i7xo2 * [開發紀錄(lab0)](https://hackmd.io/@93i7xo2/HyNGNpXYN) / [github](https://github.com/93i7xo2/lab0-c) - [ ] yxguo2536 * [開發紀錄(lab0)](https://hackmd.io/@yxguo/ByMtgFnwS) / [github](https://github.com/yxguo2536/lab0-c) * [開發紀錄(quiz2)](https://hackmd.io/@yxguo/BJZ-JUJdS) - [ ] yuhao * [開發紀錄(lab0)](https://hackmd.io/@yuhao-kuo/lab0-c-sys2019q3) / [github](https://github.com/yuhao-kuo/lab0-c) - [ ] Benny1117Yen * [開發紀錄(lab0)](https://hackmd.io/@P86071244/lab0-c) / [github](https://github.com/Benny1117Yen/lab0-c) - [ ] shaojason999 * [開發紀錄(lab0)](https://hackmd.io/@ebhFqyF8QryV_ZWAFURDhQ/B1D1kReOB) / [github](https://github.com/shaojason999/lab0-c) - [ ] tommywang0tw * [開發紀錄(lab0)](https://hackmd.io/@tommywang0tw/lab0) / [github](https://github.com/tommywang0tw/lab0-c) - [ ] Shengyuu * [開發紀錄 (lab0)](https://hackmd.io/@_01X9rimQmWH33Djf8QhoA/BJei49crE) / [github](https://github.com/Shengyuu/lab0-c)